Icarus Verilog

維基百科,自由的百科全書
Icarus Verilog
開發者史蒂芬·威廉士(Stephen Williams
當前版本
  • 12.0 (2023年6月11日)[1]
編輯維基數據鏈接
原始碼庫 編輯維基數據鏈接
程式語言C++
作業系統LinuxFreeBSDOpenSolarisAIXMicrosoft Windows以及OS X
平台跨平台
語言英語
類型Verilog仿真器
許可協議GNU通用公共許可協議
網站http://iverilog.icarus.com/
http://sourceforge.net/projects/iverilog/

Icarus VerilogVerilog硬體描述語言的實現工具之一。它支持Verilog對應的的IEEE 1995、IEEE 2001和IEEE 2005三個不同的版本,並對SystemVerilog的部分內容提供支持。

Icarus Verilog可以配置在LinuxFreeBSDOpenSolarisAIXMicrosoft Windows以及OS X環境中。該軟體以GNU通用公共許可協議發布,是一個自由軟體

截止到0.9版,該工具提供了Verilog編譯器(包含一個Verilog預處理器),並支持可插入後端(plug-in backend),並通過一個虛擬機來對設計進行仿真

歷史

該軟體的作者本人並不記得最初是在何時開始了這個項目,不過協作版本系統的記錄顯示,這一軟體的歷史可以追溯到1998年,迄今為止發布了0.2版到0.9版。

Icarus Verilog的開發工作主要由史蒂芬·威廉士(Stephen Williams)個人獨立完成。其中一些部分以補丁的形式提供。

外部連結

  1. ^ Release 12.0. 2023年6月11日 [2023年7月4日].