Icarus Verilog

维基百科,自由的百科全书
Icarus Verilog
開發者史蒂芬·威廉姆斯(Stephen Williams
当前版本
  • 12.0 (2023年6月11日)[1]
編輯維基數據鏈接
源代码库 編輯維基數據鏈接
编程语言C++
操作系统LinuxFreeBSDOpenSolarisAIXMicrosoft Windows以及OS X
平台跨平台
语言英语
类型Verilog仿真器
许可协议GNU通用公共许可协议
网站http://iverilog.icarus.com/
http://sourceforge.net/projects/iverilog/

Icarus VerilogVerilog硬件描述语言的实现工具之一。它支持Verilog对应的的IEEE 1995、IEEE 2001和IEEE 2005三个不同的版本,并对SystemVerilog的部分内容提供支持。

Icarus Verilog可以配置在LinuxFreeBSDOpenSolarisAIXMicrosoft Windows以及OS X环境中。该软件以GNU通用公共许可协议发布,是一个自由软件

截止到0.9版,该工具提供了Verilog编译器(包含一个Verilog预处理器),并支持可插入后端(plug-in backend),并通过一个虚拟机来对设计进行仿真

历史

该软件的作者本人并不记得最初是在何时开始了这个项目,不过協作版本系統的记录显示,这一软件的历史可以追溯到1998年,迄今为止发布了0.2版到0.9版。

Icarus Verilog的开发工作主要由史蒂芬·威廉姆斯(Stephen Williams)个人独立完成。其中一些部分以补丁的形式提供。

外部链接

  1. ^ Release 12.0. 2023年6月11日 [2023年7月4日].